Model { Name "TP_RI" Version 9.0 SavedCharacterEncoding "windows-1252" GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.81" NumModelReferences 0 NumTestPointedSignals 0 NumProvidedFunctions 0 NumRequiredFunctions 0 NumResetEvents 0 HasInitializeEvent 0 HasTerminateEvent 0 IsExportFunctionModel 0 NumParameterArguments 0 NumExternalFileReferences 20 ExternalFileReference { Reference "powerlib/Electrical\nSources/AC Voltage Source" Path "TP_RI/132.8 kV rms 50 Hz1" SID "1" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/Elements/Parallel RLC Load" Path "TP_RI/C compensator" SID "2" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/Elements/Series RLC Branch" Path "TP_RI/C1" SID "3" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/Elements/Series RLC Branch" Path "TP_RI/C2" SID "4" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib_extras/Measurements/Fourier" Path "TP_RI/Fourier3" SID "6" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib_extras/Measurements/Fourier" Path "TP_RI/Fourier4" SID "7" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/Elements/Ground" Path "TP_RI/Ground7" SID "8" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/Elements/Ground" Path "TP_RI/Ground8" SID "9" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/Measurements/Voltage Measurement" Path "TP_RI/Line voltage" SID "12" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/Measurements/Voltage Measurement" Path "TP_RI/Line voltage1" SID "13" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/Measurements/Current Measurement" Path "TP_RI/Load current1" SID "14" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/Elements/Parallel RLC Load" Path "TP_RI/RL Load" SID "16" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/Elements/Series RLC Branch" Path "TP_RI/RL2" SID "17" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/Elements/Series RLC Branch" Path "TP_RI/Rs Ls2" SID "18" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/Elements/Ground" Path "TP_RI/output Ground10" SID "24" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/Elements/Ground" Path "TP_RI/output Ground6" SID "25" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/Elements/Ground" Path "TP_RI/output Ground7" SID "26" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/Elements/Ground" Path "TP_RI/output Ground8" SID "27" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/Elements/Ground" Path "TP_RI/output Ground9" SID "28" Type "LIBRARY_BLOCK" } ExternalFileReference { Reference "powerlib/powergui" Path "TP_RI/powergui" SID "29" Type "LIBRARY_BLOCK" } OrderedModelArguments 1 } LogicAnalyzerGraphicalSettings "" LogicAnalyzerPlugin "on" LogicAnalyzerSignalOrdering "" DiagnosticSuppressor "on" SuppressorTable "22 serialization::archive 11 0 6 0 0 0 8 0" CustomCodeFunctionData "" SLCCPlugin "on" ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" FPTRunName "Run 1" MaxMDLFileLineLength 120 UserBdParams "PhysicalModelingChecksum;PhysicalModelingParameterChecksum;PhysicalModelingProducts" LastSavedArchitecture "win64" Object { $PropName "BdWindowsInfo" $ObjectID 1 $ClassName "Simulink.BDWindowsInfo" Object { $PropName "WindowsInfo" $ObjectID 2 $ClassName "Simulink.WindowInfo" IsActive [1] Location [-8.0, -8.0, 1382.0, 744.0] Object { $PropName "ModelBrowserInfo" $ObjectID 3 $ClassName "Simulink.ModelBrowserInfo" Visible [0] DockPosition "Left" Width [50] Height [50] Filter [8] } Object { $PropName "ExplorerBarInfo" $ObjectID 4 $ClassName "Simulink.ExplorerBarInfo" Visible [1] } Object { $PropName "EditorsInfo" $ObjectID 5 $ClassName "Simulink.EditorInfo" IsActive [1] ViewObjType "SimulinkTopLevel" LoadSaveID "0" Extents [1328.0, 563.0] ZoomFactor [1.0] Offset [0.0, 0.0] } Object { $PropName "DockComponentsInfo" $ObjectID 6 $ClassName "Simulink.DockComponentInfo" Type "GLUE2:PropertyInspector" ID "Property Inspector" Visible [0] CreateCallback "" UserData "" Floating [0] DockPosition "Right" Width [640] Height [480] } WindowState "AAAA/wAAAAD9AAAAAgAAAAAAAAC9AAAB+PwCAAAAA/sAAAAWAEQAbwBjAGsAVwBpAGQAZwBlAHQAMwEAAAAxAAAB+AAAA" "AAAAAAA+wAAABYARABvAGMAawBXAGkAZABnAGUAdAA0AAAAAAD/////AAAAAAAAAAD7AAAAUgBHAEwAVQBFADIAIAB0AHIAZQBlACAAYwBvAG0Ac" "ABvAG4AZQBuAHQALwBHAEwAVQBFADIAIAB0AHIAZQBlACAAYwBvAG0AcABvAG4AZQBuAHQAAAAAAP////8AAABjAP///wAAAAEAAAAAAAAAAPwCA" "AAAAfsAAABUAEcATABVAEUAMgA6AFAAcgBvAHAAZQByAHQAeQBJAG4AcwBwAGUAYwB0AG8AcgAvAFAAcgBvAHAAZQByAHQAeQAgAEkAbgBzAHAAZ" "QBjAHQAbwByAAAAAAD/////AAAAKwD///8AAAVWAAACbAAAAAEAAAACAAAAAQAAAAL8AAAAAQAAAAIAAAAP/////wAAAAAA/////wAAAAAAAAAA/" "////wEAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/" "////wEAAAB5/////wAAAAAAAAAA/////wEAAADa/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wEAAAFT/////wAAAAAAAAAA/" "////wAAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wEAAAMr/////wAAAAAAAAAA/" "////wEAAANa/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA" } } HideAutomaticNames on PhysicalModelingChecksum "2428704991" PhysicalModelingParameterChecksum "1734473619" PhysicalModelingProducts "Power_System_Blocks" Created "Mon Oct 14 18:39:18 2013" Creator "xp" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "Dr Mosbah Louamer" ModifiedDateFormat "%" LastModifiedDate "Mon Nov 01 16:45:21 2021" RTWModifiedTimeStamp 557250881 ModelVersionFormat "1.%" SampleTimeColors off SampleTimeAnnotations off LibraryLinkDisplay "none" WideLines off ShowLineDimensions off ShowPortDataTypes off PortDataTypeDisplayFormat "AliasTypeOnly" ShowEditTimeErrors on ShowEditTimeWarnings on ShowEditTimeAdvisorChecks off ShowPortUnits off ShowDesignRanges off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off VariantCondition off ExecutionContextIcon off ShowLinearizationAnnotations on ShowVisualizeInsertedRTB on ShowMarkup on BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off BlockVariantConditionDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off FunctionConnectors off BrowserLookUnderMasks off SimulationMode "normal" VisualizeLoggedSignalsWhenLoggingToFile off PauseTimes "5" NumberOfSteps 1 SnapshotBufferSize 10 SnapshotInterval 10 NumberOfLastSnapshots 0 LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off Object { $PropName "DataLoggingOverride" $ObjectID 7 $ClassName "Simulink.SimulationData.ModelLoggingInfo" model_ "TP_RI" Array { Type "Cell" Dimension 1 Cell "TP_RI" PropName "logAsSpecifiedByModels_" } Array { Type "Cell" Dimension 1 Cell "" PropName "logAsSpecifiedByModelsSSIDs_" } } ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off OrderedModelArguments on Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 8 Version "1.17.1" DisabledProps [] Description "" Array { Type "Handle" Dimension 10 Simulink.SolverCC { $ObjectID 9 Version "1.17.1" DisabledProps [] Description "" StartTime "0.0" StopTime "0.8" AbsTol "auto" FixedStep "1e-4" InitialStep "auto" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-4" EnableMultiTasking on ConcurrentTasks off Solver "ode23tb" SolverName "ode23tb" SolverJacobianMethodControl "auto" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Nonadaptive" AlgebraicLoopSolver "TrustRegion" SolverInfoToggleStatus off IsAutoAppliedInSIP off SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" SampleTimeProperty [] DecoupledContinuousIntegration off } Simulink.DataIOCC { $ObjectID 10 Version "1.17.1" DisabledProps [] Description "" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveCompleteFinalSimState off SaveFormat "Array" SignalLoggingSaveFormat "ModelDataLogs" SaveOutput on SaveState off SignalLogging on DSMLogging on InspectSignalLogs off VisualizeSimOutput on StreamToWorkspace off StreamVariableName "streamout" SaveTime on ReturnWorkspaceOutputs off StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" DSMLoggingName "dsmout" OutputOption "RefineOutputTimes" OutputTimes "[]" ReturnWorkspaceOutputsName "out" Refine "1" LoggingToFile off DatasetSignalFormat "timeseries" LoggingFileName "out.mat" LoggingIntervals "[-inf, inf]" } Simulink.OptimizationCC { $ObjectID 11 Version "1.17.1" Array { Type "Cell" Dimension 8 Cell "BooleansAsBitfields" Cell "PassReuseOutputArgsAs" Cell "PassReuseOutputArgsThreshold" Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" Cell "UseSpecifiedMinMax" PropName "DisabledProps" } Description "" BlockReduction on BooleanDataType on ConditionallyExecuteInputs on DefaultParameterBehavior "Tunable" UseDivisionForNetSlopeComputation "off" UseFloatMulNetSlope off DefaultUnderspecifiedDataType "double" UseSpecifiedMinMax off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off CachingGlobalReferences off GlobalBufferReuse on StrengthReduction off AdvancedOptControl "" ExpressionFolding on BooleansAsBitfields off BitfieldContainerType "uint_T" EnableMemcpy on MemcpyThreshold 64 PassReuseOutputArgsAs "Structure reference" PassReuseOutputArgsThreshold 12 ExpressionDepthLimit 128 LocalBlockOutputs on RollThreshold 5 StateBitsets off DataBitsets off ActiveStateOutputEnumStorageType "Native Integer" ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero off NoFixptDivByZeroProtection off EfficientFloat2IntCast off EfficientMapNaN2IntZero on LifeSpan "inf" MaxStackSize "Inherit from target" BufferReusableBoundary on SimCompilerOptimization "off" AccelVerboseBuild off OptimizeBlockOrder "off" OptimizeDataStoreBuffers on BusAssignmentInplaceUpdate on DifferentSizesBufferReuse off } Simulink.DebuggingCC { $ObjectID 12 Version "1.17.1" Array { Type "Cell" Dimension 1 Cell "UseOnlyExistingSharedCode" PropName "DisabledProps" } Description "" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "warning" CheckSSInitialOutputMsg on UnderspecifiedInitializationDetection "Classic" MergeDetectMultiDrivingBlocksExec "none" CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "UseLocalSettings" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" MaskedZcDiagnostic "warning" IgnoredZcDiagnostic "warning" SolverPrmCheckMsg "warning" InheritedTsInSrcMsg "warning" MultiTaskDSMMsg "error" MultiTaskCondExecSysMsg "error" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" ExportedTasksRateTransMsg "none" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" FixptConstUnderflowMsg "none" FixptConstOverflowMsg "none" FixptConstPrecisionLossMsg "none" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "warning" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" UseOnlyExistingSharedCode "error" SFcnCompatibilityMsg "none" FrameProcessingCompatibilityMsg "error" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" AllowSymbolicDim on RowMajorDimensionSupport off ModelReferenceIOMsg "none" ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" SimStateInterfaceChecksumMismatchMsg "warning" SimStateOlderReleaseMsg "error" ChecksumConsistencyForSSReuse "none" InitInArrayFormatMsg "warning" StrictBusMsg "Warning" BusNameAdapt "WarnAndRepair" NonBusSignalsTreatedAsBus "none" SymbolicDimMinMaxWarning "warning" LossOfSymbolicDimsSimulationWarning "warning" LossOfSymbolicDimsCodeGenerationWarning "error" SymbolicDimsDataTypeCodeGenerationDiagnostic "error" BlockIODiagnostic "none" SFUnusedDataAndEventsDiag "warning" SFUnexpectedBacktrackingDiag "warning" SFInvalidInputDataAccessInChartInitDiag "warning" SFNoUnconditionalDefaultTransitionDiag "warning" SFTransitionOutsideNaturalParentDiag "warning" SFUnreachableExecutionPathDiag "warning" SFUndirectedBroadcastEventsDiag "warning" SFTransitionActionBeforeConditionDiag "warning" SFOutputUsedAsStateInMooreChartDiag "error" SFTemporalDelaySmallerThanSampleTimeDiag "warning" SFSelfTransitionDiag "warning" SFExecutionAtInitializationDiag "none" SFMachineParentedDataDiag "warning" IntegerSaturationMsg "warning" AllowedUnitSystems "all" UnitsInconsistencyMsg "warning" AllowAutomaticUnitConversions on RCSCRenamedMsg "warning" RCSCObservableMsg "warning" ForceCombineOutputUpdateInSim off UnitDatabase "" } Simulink.HardwareCC { $ObjectID 13 Version "1.17.1" DisabledProps [] Description "" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdBitPerLongLong 64 ProdBitPerFloat 32 ProdBitPerDouble 64 ProdBitPerPointer 32 ProdBitPerSizeT 32 ProdBitPerPtrDiffT 32 ProdLargestAtomicInteger "Char" ProdLargestAtomicFloat "None" ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdLongLongMode off ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetBitPerLongLong 64 TargetBitPerFloat 32 TargetBitPerDouble 64 TargetBitPerPointer 32 TargetBitPerSizeT 32 TargetBitPerPtrDiffT 32 TargetLargestAtomicInteger "Char" TargetLargestAtomicFloat "None" TargetShiftRightIntArith on TargetLongLongMode off TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on UseEmbeddedCoderFeatures on UseSimulinkCoderFeatures on } Simulink.ModelReferenceCC { $ObjectID 14 Version "1.17.1" DisabledProps [] Description "" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" EnableRefExpFcnMdlSchedulingChecks on CheckModelReferenceTargetMessage "error" EnableParallelModelReferenceBuilds off ParallelModelReferenceErrorOnInvalidPool on ParallelModelReferenceMATLABWorkerInit "None" ModelReferenceNumInstancesAllowed "Multi" PropagateVarSize "Infer from blocks in model" ModelDependencies "" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off PropagateSignalLabelsOutOfModel off SupportModelReferenceSimTargetCustomCode off } Simulink.SFSimCC { $ObjectID 15 Version "1.17.1" DisabledProps [] Description "" SimCustomSourceCode "" SimCustomHeaderCode "" SimCustomInitializer "" SimCustomTerminator "" SimReservedNameArray [] SimUserSources "" SimUserIncludeDirs "" SimUserLibraries "" SimUserDefines "" SimCustomCompilerFlags "" SimCustomLinkerFlags "" SFSimEcho on SimCtrlC on SimIntegrity on SimUseLocalCustomCode off SimParseCustomCode on SimBuildMode "sf_incremental_build" SimGenImportedTypeDefs off ModelFunctionsGlobalVisibility "on" CompileTimeRecursionLimit 50 EnableRuntimeRecursion on MATLABDynamicMemAlloc on MATLABDynamicMemAllocThreshold 65536 } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 16 Version "1.17.1" Array { Type "Cell" Dimension 13 Cell "IncludeHyperlinkInReport" Cell "GenerateTraceInfo" Cell "GenerateTraceReport" Cell "GenerateTraceReportSl" Cell "GenerateTraceReportSf" Cell "GenerateTraceReportEml" Cell "PortableWordSizes" Cell "GenerateWebview" Cell "GenerateCodeMetricsReport" Cell "GenerateCodeReplacementReport" Cell "GenerateMissedCodeReplacementReport" Cell "GenerateErtSFunction" Cell "CreateSILPILBlock" PropName "DisabledProps" } SystemTargetFile "grt.tlc" HardwareBoard "None" TLCOptions "" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on PackageGeneratedCodeAndArtifacts off PackageName "" TemplateMakefile "grt_default_tmf" PostCodeGenCommand "" Description "" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off RTWBuildHooks [] ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off RTWUseLocalCustomCode off RTWUseSimCustomCode off CustomSourceCode "" CustomHeaderCode "" CustomInclude "" CustomSource "" CustomLibrary "" CustomDefine "" CustomLAPACKCallback "" CustomFFTCallback "" CustomInitializer "" CustomTerminator "" Toolchain "Automatically locate an installed toolchain" BuildConfiguration "Faster Builds" CustomToolchainOptions [] IncludeHyperlinkInReport off LaunchReport off PortableWordSizes off CreateSILPILBlock "None" CodeExecutionProfiling off CodeExecutionProfileVariable "executionProfile" CodeProfilingSaveOptions "SummaryOnly" CodeProfilingInstrumentation off SILDebugging off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateWebview off GenerateCodeMetricsReport off GenerateCodeReplacementReport off GenerateMissedCodeReplacementReport off RTWCompilerOptimization "off" ObjectivePriorities [] RTWCustomCompilerOptimizations "" CheckMdlBeforeBuild "Off" SharedConstantsCachingThreshold 1024 Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 17 Version "1.17.1" Array { Type "Cell" Dimension 27 Cell "IgnoreCustomStorageClasses" Cell "IgnoreTestpoints" Cell "InsertBlockDesc" Cell "SFDataObjDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrFcnArg" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" Cell "ReqsInCode" Cell "InsertPolySpaceComments" Cell "MATLABFcnDesc" Cell "InternalIdentifier" Cell "CustomSymbolStrModelFcn" Cell "CustomSymbolStrUtil" Cell "CustomSymbolStrEmxType" Cell "CustomSymbolStrEmxFcn" Cell "CustomUserTokenString" PropName "DisabledProps" } Description "" Comment "" ForceParamTrailComments off GenerateComments on CommentStyle "Auto" IgnoreCustomStorageClasses on IgnoreTestpoints off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off OperatorAnnotations off SimulinkDataObjDesc off SFDataObjDesc off MATLABFcnDesc off MangleLength 1 SharedChecksumLength 8 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M_T" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrModelFcn "$R$N" CustomSymbolStrFcnArg "rt$I$N$M" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" CustomSymbolStrUtil "$N$C" CustomSymbolStrEmxType "emxArray_$M$N" CustomSymbolStrEmxFcn "emx$M$N" CustomUserTokenString "" CustomCommentsFcn "" DefineNamingRule "None" DefineNamingFcn "" ParamNamingRule "None" ParamNamingFcn "" SignalNamingRule "None" SignalNamingFcn "" InsertBlockDesc off InsertPolySpaceComments off SimulinkBlockComments on StateflowObjectComments on MATLABSourceComments off EnableCustomComments off InternalIdentifierFile "" InternalIdentifier "Shortened" InlinedPrmAccess "Literals" ReqsInCode off UseSimReservedNames off ReservedNameArray [] } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 18 Version "1.17.1" Array { Type "Cell" Dimension 18 Cell "GeneratePreprocessorConditionals" Cell "IncludeMdlTerminateFcn" Cell "GenerateAllocFcn" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "GenerateTestInterfaces" Cell "ModelStepFunctionPrototypeControlCompliant" Cell "CPPClassGenCompliant" Cell "RemoveResetFunc" Cell "PurelyIntegerCode" Cell "PortableWordSizes" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" Cell "RemoveDisableFunc" Cell "ExistingSharedCode" PropName "DisabledProps" } Description "" TargetFcnLib "ansi_tfl_table_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" GenFloatMathFcnCalls "NOT IN USE" TargetLangStandard "C89/C90 (ANSI)" CodeReplacementLibrary "None" UtilityFuncGeneration "Auto" MultiwordTypeDef "System defined" MultiwordLength 2048 GenerateFullHeader on InferredTypesCompatibility off ExistingSharedCode "" GenerateSampleERTMain off GenerateTestInterfaces off ModelReferenceCompliant on ParMdlRefBuildCompliant on CompOptLevelCompliant on ConcurrentExecutionCompliant on IncludeMdlTerminateFcn on GeneratePreprocessorConditionals "Use local settings" CombineOutputUpdateFcns off CombineSignalStateStructs off SuppressErrorStatus off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off CodeInterfacePackaging "Nonreusable function" SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on RemoveDisableFunc off RemoveResetFunc off SupportVariableSizeSignals off ParenthesesLevel "Nominal" CastingMode "Nominal" MATLABClassNameForMDSCustomization "Simulink.SoftwareTarget.GRTCustomization" ModelStepFunctionPrototypeControlCompliant off CPPClassGenCompliant on AutosarCompliant off MDXCompliant off GRTInterface on GenerateAllocFcn off UseToolchainInfoCompliant on GenerateSharedConstants on CoderGroups [] AccessMethods [] LookupTableObjectStructAxisOrder "1,2,3,4,..." LUTObjectStructOrderExplicitValues "Size,Breakpoints,Table" LUTObjectStructOrderEvenSpacing "Size,Breakpoints,Table" ArrayLayout "Column-major" UseMalloc off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeMexArgs "" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off RTWCAPIRootIO off GenerateASAP2 off MultiInstanceErrorCode "Error" } PropName "Components" } } SlCovCC.ConfigComp { $ObjectID 19 Version "1.17.1" DisabledProps [] Description "Simulink Coverage Configuration Component" Name "Simulink Coverage" CovEnable off CovScope "EntireSystem" CovIncludeTopModel on RecordCoverage off CovPath "/" CovSaveName "covdata" CovCompData "" CovMetricSettings "dw" CovFilter "" CovHTMLOptions "" CovNameIncrementing off CovHtmlReporting on CovForceBlockReductionOff on CovEnableCumulative on CovSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovSaveOutputData on CovOutputDir "slcov_output/$ModelName$" CovDataFileName "$ModelName$_cvdata" CovShowResultsExplorer on CovReportOnPause on CovModelRefEnable "off" CovModelRefExcluded "" CovExternalEMLEnable off CovSFcnEnable on CovBoundaryAbsTol 1e-05 CovBoundaryRelTol 0.01 CovUseTimeInterval off CovStartTime 0 CovStopTime 0 CovMcdcMode "Masking" } SSC.SimscapeCC { $ObjectID 20 Version "1.0" Array { Type "Cell" Dimension 1 Cell "Name" PropName "DisabledProps" } Description "" Name "Simscape" EditingMode "Full" ExplicitSolverDiagnosticOptions "warning" GlobalZcOffDiagnosticOptions "warning" SimscapeNormalizeSystem on SimscapeNominalValues "[{\"value\":\"1\",\"unit\":\"A\"},{\"value\":\"1\",\"unit\":\"bar\"},{\"value\":\"1\",\"un" "it\":\"cm^2\"},{\"value\":\"1\",\"unit\":\"cm^3/s\"},{\"value\":\"1\",\"unit\":\"kJ/kg\"},{\"value\":\"1\",\"unit\"" ":\"kW\"},{\"value\":\"1\",\"unit\":\"l\"},{\"value\":\"1\",\"unit\":\"N\"},{\"value\":\"1\",\"unit\":\"N*m\"},{\"va" "lue\":\"1\",\"unit\":\"V\"}]" SimscapeLogType "none" SimscapeLogSimulationStatistics off SimscapeLogOpenViewer off SimscapeLogName "simlog" SimscapeLogDecimation 1 SimscapeLogLimitData on SimscapeLogDataHistory 5000 SimscapeUseOperatingPoints off SimscapeOperatingPoint "" SelectedTab "" } PropName "Components" } Name "Configuration" ExtraOptions "" CurrentDlgPage "Solver" ConfigPrmDlgPosition [ 223, 64, 1143, 704 ] } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 8 } Object { $PropName "DataTransfer" $ObjectID 21 $ClassName "Simulink.GlobalDataTransfer" DefaultTransitionBetweenSyncTasks "Ensure deterministic transfer (maximum delay)" DefaultTransitionBetweenAsyncTasks "Ensure data integrity only" DefaultTransitionBetweenContTasks "Ensure deterministic transfer (minimum delay)" DefaultExtrapolationMethodBetweenContTasks "None" AutoInsertRateTranBlk [0] } ExplicitPartitioning off BlockDefaults { ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on HideAutomaticName on BlockRotation 0 BlockMirror off } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" MarkupType "model" UseDisplayTextAsClickCallback off AnnotationType "note_annotation" FixedHeight off FixedWidth off Interpreter "off" } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } MaskDefaults { SelfModifiable "off" IconFrame "on" IconOpaque "opaque" RunInitForIconRedraw "analyze" IconRotate "none" PortRotate "default" IconUnits "autoscale" } MaskParameterDefaults { Evaluate "on" Tunable "on" NeverSave "off" Internal "off" ReadOnly "off" Enabled "on" Visible "on" ToolTip "on" } BlockParameterDefaults { Block { BlockType Display Format "short" Decimation "10" Floating off Lockdown off SampleTime "-1" } Block { BlockType Gain Gain "1" Multiplication "Element-wise(K.*u)" ParamMin "[]" ParamMax "[]" ParamDataTypeStr "Inherit: Same as input" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Mux Inputs "4" DisplayOption "none" UseBusObject off BusObject "BusObject" NonVirtualBus off } Block { BlockType Scope DefaultConfigurationName "Simulink.scopes.TimeScopeBlockCfg" Floating off } Block { BlockType Sum IconShape "rectangular" Inputs "++" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on AccumDataTypeStr "Inherit: Inherit via internal rule" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Trigonometry Operator "sin" ApproximationMethod "None" NumberOfIterations "11" OutputSignalType "auto" SampleTime "-1" } } System { Name "TP_RI" Location [-8, -8, 1374, 736] Open on PortBlocksUseCompactNotation off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" ReportName "simulink-default.rpt" SIDHighWatermark "30" Block { BlockType Reference Name "132.8 kV rms\n50 Hz1" SID "1" Description "source block" Ports [0, 0, 0, 0, 0, 1, 1] Position [18, 125, 42, 160] ZOrder -1 BlockRotation 270 AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Electrical\nSources/AC Voltage Source" SourceType "AC Voltage Source" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" ContentPreviewEnabled off Amplitude "230e3/sqrt(3)*sqrt(2)" Phase "0" Frequency "50" SampleTime "0" Measurements "None" BusType "swing" Pref "10e3" Qref "0" Qmin "-inf" Qmax "+inf" } Block { BlockType Reference Name "C compensator" SID "2" Ports [0, 0, 0, 0, 0, 1, 1] Position [520, 125, 570, 165] ZOrder -2 BlockRotation 270 BlockMirror on NamePlacement "alternate" AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Elements/Parallel RLC Load" SourceType "Parallel RLC Load" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" LConnTagsString "a" RConnTagsString "__new0" NominalVoltage "140.8e3" NominalFrequency "50" ActivePower "0" InductivePower "0" CapacitivePower "36.4981e6" Setx0 off InitialVoltage "0" SetiL0 off InitialCurrent "0" Measurements "None" LoadType "constant Z" } Block { BlockType Reference Name "C1" SID "3" Ports [0, 0, 0, 0, 0, 1, 1] Position [170, 120, 210, 170] ZOrder -3 BlockRotation 270 BlockMirror on NamePlacement "alternate" AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Elements/Series RLC Branch" SourceType "Series RLC Branch" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" LConnTagsString "__new0" RConnTagsString "__new0" BranchType "C" Resistance "[]" Inductance "[]" SetiL0 off InitialCurrent "0" Capacitance "0.067e-06" Setx0 off InitialVoltage "0" Measurements "None" } Block { BlockType Reference Name "C2" SID "4" Ports [0, 0, 0, 0, 0, 1, 1] Position [310, 120, 350, 170] ZOrder -4 BlockRotation 270 BlockMirror on NamePlacement "alternate" AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Elements/Series RLC Branch" SourceType "Series RLC Branch" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" LConnTagsString "__new0" RConnTagsString "__new0" BranchType "C" Resistance "[]" Inductance "[]" SetiL0 off InitialCurrent "0" Capacitance "0.067e-06" Setx0 off InitialVoltage "0" Measurements "None" } Block { BlockType Gain Name "Deg->Rad\npi/1" SID "5" Position [970, 190, 1000, 220] ZOrder -5 Gain "pi/180" } Block { BlockType Reference Name "Fourier3" SID "6" Ports [1, 2] Position [810, 166, 885, 204] ZOrder -6 LibraryVersion "1.676" FontName "Verdana" FontSize 11 SourceBlock "powerlib_extras/Measurements/Fourier" SourceType "Fourier analyser" ContentPreviewEnabled off f1 "60" n "1" } Block { BlockType Reference Name "Fourier4" SID "7" Ports [1, 2] Position [810, 226, 885, 264] ZOrder -7 LibraryVersion "1.676" FontName "Verdana" FontSize 11 SourceBlock "powerlib_extras/Measurements/Fourier" SourceType "Fourier analyser" ContentPreviewEnabled off f1 "60" n "1" } Block { BlockType Reference Name "Ground7" SID "8" Ports [0, 0, 0, 0, 0, 1] Position [629, 200, 651, 220] ZOrder -8 BlockRotation 270 BlockMirror on ShowName off AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Elements/Ground" SourceType "Ground" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" LConnTagsString "a" } Block { BlockType Reference Name "Ground8" SID "9" Ports [0, 0, 0, 0, 0, 1] Position [534, 200, 556, 220] ZOrder -9 BlockRotation 270 BlockMirror on ShowName off AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Elements/Ground" SourceType "Ground" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" LConnTagsString "a" } Block { BlockType Display Name "I Load" SID "10" Ports [1] Position [970, 91, 1060, 129] ZOrder -10 Decimation "1" } Block { BlockType Scope Name "Ir, Vr" SID "11" Ports [2] Position [740, 52, 770, 83] ZOrder -11 ScopeSpecificationString "Simulink.scopes.TimeScopeBlockCfg('CurrentConfiguration', extmgr.ConfigurationSet(extm" "gr.Configuration('Core','General UI',true),extmgr.Configuration('Core','Source UI',true),extmgr.Configuration('S" "ources','WiredSimulink',true,'DataLoggingVariableName','ScopeData7','DataLoggingSaveFormat','Array','DataLogging" "Decimation','1','DataLoggingDecimateData',true),extmgr.Configuration('Visuals','Time Domain',true,'SerializedDis" "plays',{struct('MinYLimReal','-1000','MaxYLimReal','1000','YLabelReal','','MinYLimMag','0','MaxYLimMag','10','Le" "gendVisibility','off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.6862" "74509803922 0.686274509803922 0.686274509803922],'ColorOrder',[1 1 0.0666666666666667;0.0745098039215686 0.62352" "9411764706 1;1 0.411764705882353 0.16078431372549;0.392156862745098 0.831372549019608 0.0745098039215686;0.71764" "7058823529 0.274509803921569 1;0.0588235294117647 1 1;1 0.0745098039215686 0.650980392156863],'Title','%','LinePropertiesCache',{{}},'UserDefinedChannelNames',{{}},'NumLines',1,'LineNames',{{'I_load'}},'ShowCont" "ent',true,'Placement',1),struct('MinYLimReal','-150000','MaxYLimReal','150000','YLabelReal','','MinYLimMag','0'," "'MaxYLimMag','10','LegendVisibility','off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'A" "xesTickColor',[0.686274509803922 0.686274509803922 0.686274509803922],'ColorOrder',[1 1 0.0666666666666667;0.074" "5098039215686 0.623529411764706 1;1 0.411764705882353 0.16078431372549;0.392156862745098 0.831372549019608 0.074" "5098039215686;0.717647058823529 0.274509803921569 1;0.0588235294117647 1 1;1 0.0745098039215686 0.65098039215686" "3],'Title','%','LinePropertiesCache',{{}},'UserDefinedChannelNames',{{}},'NumLines',1,'LineNames',{" "{'V_line'}},'ShowContent',true,'Placement',2)},'DisplayPropertyDefaults',struct('MinYLimReal','-1000','MaxYLimRe" "al','1000','YLabelReal','','MinYLimMag','0','MaxYLimMag','10','LegendVisibility','off','XGrid',true,'YGrid',true" ",'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.686274509803922 0.686274509803922 0.686274509803922" "],'ColorOrder',[1 1 0.0666666666666667;0.0745098039215686 0.623529411764706 1;1 0.411764705882353 0.160784313725" "49;0.392156862745098 0.831372549019608 0.0745098039215686;0.717647058823529 0.274509803921569 1;0.05882352941176" "47 1 1;1 0.0745098039215686 0.650980392156863],'Title','%','LinePropertiesCache',{{}},'UserDefinedC" "hannelNames',{{}},'NumLines',0,'LineNames',{{[]}},'ShowContent',true,'Placement',1),'DisplayLayoutDimensions',[2" " 1],'TimeRangeSamples','0.2','TimeRangeFrames','0.2'),extmgr.Configuration('Tools','Plot Navigation',true,'OnceA" "tStop',false),extmgr.Configuration('Tools','Measurements',true,'Version','2017b')),'Version','2017b','Location'," "[707 84 1134 392])" NumInputPorts "2" } Block { BlockType Reference Name "Line voltage" SID "12" Tag "PoWeRsYsTeMmEaSuReMeNt" Ports [0, 1, 0, 0, 0, 2] Position [415, 168, 440, 192] ZOrder -12 AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Measurements/Voltage Measurement" SourceType "Voltage Measurement" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" ContentPreviewEnabled off PhasorSimulation off OutputType "Magnitude" PSBequivalent "0" Port { PortNumber 1 Name "V_line" } } Block { BlockType Reference Name "Line voltage1" SID "13" Tag "PoWeRsYsTeMmEaSuReMeNt" Ports [0, 1, 0, 0, 0, 2] Position [500, 273, 525, 297] ZOrder -13 AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Measurements/Voltage Measurement" SourceType "Voltage Measurement" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" ContentPreviewEnabled off PhasorSimulation off OutputType "Magnitude" PSBequivalent "0" } Block { BlockType Reference Name "Load current1" SID "14" Tag "PoWeRsYsTeMmEaSuReMeNt" Ports [0, 1, 0, 0, 0, 1, 1] Position [575, 54, 600, 76] ZOrder -14 AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Measurements/Current Measurement" SourceType "Current Measurement" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" ContentPreviewEnabled off PhasorSimulation off OutputType "Magnitude" PSBequivalent "0" Port { PortNumber 1 Name "I_load" } } Block { BlockType Mux Name "Mux1" SID "15" Ports [2, 1] Position [635, 256, 640, 294] ZOrder -15 ShowName off Inputs "2" DisplayOption "bar" } Block { BlockType Reference Name "RL Load" SID "16" Ports [0, 0, 0, 0, 0, 1, 1] Position [615, 130, 665, 170] ZOrder -16 BlockRotation 270 BlockMirror on AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Elements/Parallel RLC Load" SourceType "Parallel RLC Load" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" LConnTagsString "a" RConnTagsString "__new0" NominalVoltage "132.8e3" NominalFrequency "50" ActivePower "75e6" InductivePower "66.140e6" CapacitivePower "0" Setx0 off InitialVoltage "0" SetiL0 off InitialCurrent "0" Measurements "None" LoadType "constant Z" } Block { BlockType Reference Name "RL2" SID "17" Ports [0, 0, 0, 0, 0, 1, 1] Position [225, 53, 285, 77] ZOrder -17 AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Elements/Series RLC Branch" SourceType "Series RLC Branch" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" LConnTagsString "__new0" RConnTagsString "__new0" BranchType "RL" Resistance "5.2" Inductance "138e-3" SetiL0 off InitialCurrent "0" Capacitance "[]" Setx0 off InitialVoltage "0" Measurements "None" } Block { BlockType Reference Name "Rs Ls2" SID "18" Ports [0, 0, 0, 0, 0, 1, 1] Position [65, 53, 125, 77] ZOrder -18 AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Elements/Series RLC Branch" SourceType "Series RLC Branch" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" LConnTagsString "__new0" RConnTagsString "__new0" BranchType "RL" Resistance "2.645" Inductance "70.2e-03" SetiL0 off InitialCurrent "0" Capacitance "[]" Setx0 off InitialVoltage "0" Measurements "None" } Block { BlockType Sum Name "Sum1" SID "19" Ports [2, 1] Position [920, 187, 945, 218] ZOrder -19 ShowName off Inputs "-+" } Block { BlockType Trigonometry Name "Trigonometric\nFunction5" SID "20" Ports [1, 1] Position [1025, 192, 1055, 218] ZOrder -20 ShowName off Operator "cos" } Block { BlockType Display Name "V Load" SID "21" Ports [1] Position [980, 276, 1070, 314] ZOrder -21 Decimation "1" } Block { BlockType Scope Name "Vsr" SID "22" Ports [1] Position [685, 259, 715, 291] ZOrder -22 ScopeSpecificationString "Simulink.scopes.TimeScopeBlockCfg('CurrentConfiguration', extmgr.ConfigurationSet(extm" "gr.Configuration('Core','General UI',true),extmgr.Configuration('Core','Source UI',true),extmgr.Configuration('S" "ources','WiredSimulink',true,'DataLoggingVariableName','ScopeData9','DataLoggingSaveFormat','StructureWithTime'," "'DataLoggingDecimation','1','DataLoggingDecimateData',true),extmgr.Configuration('Visuals','Time Domain',true,'S" "erializedDisplays',{struct('MinYLimReal','-250000','MaxYLimReal','250000','YLabelReal','','MinYLimMag','0','MaxY" "LimMag','10','LegendVisibility','off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTi" "ckColor',[0.686274509803922 0.686274509803922 0.686274509803922],'ColorOrder',[1 1 0.0666666666666667;0.07450980" "39215686 0.623529411764706 1;1 0.411764705882353 0.16078431372549;0.392156862745098 0.831372549019608 0.07450980" "39215686;0.717647058823529 0.274509803921569 1;0.0588235294117647 1 1;1 0.0745098039215686 0.650980392156863],'T" "itle','%','LinePropertiesCache',{{}},'UserDefinedChannelNames',{{}},'NumLines',2,'LineNames',{{'V_l" "ine','Line voltage1'}},'ShowContent',true,'Placement',1)},'DisplayPropertyDefaults',struct('MinYLimReal','-25000" "0','MaxYLimReal','250000','YLabelReal','','MinYLimMag','0','MaxYLimMag','10','LegendVisibility','off','XGrid',tr" "ue,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.686274509803922 0.686274509803922 0." "686274509803922],'ColorOrder',[1 1 0.0666666666666667;0.0745098039215686 0.623529411764706 1;1 0.411764705882353" " 0.16078431372549;0.392156862745098 0.831372549019608 0.0745098039215686;0.717647058823529 0.274509803921569 1;0" ".0588235294117647 1 1;1 0.0745098039215686 0.650980392156863],'Title','%','LinePropertiesCache',{{}" "},'UserDefinedChannelNames',{{}},'NumLines',0,'LineNames',{{[]}},'ShowContent',true,'Placement',1),'TimeRangeSam" "ples','0.1 ','TimeRangeFrames','0.1 '),extmgr.Configuration('Tools','Plot Navigation',tr" "ue,'OnceAtStop',false),extmgr.Configuration('Tools','Measurements',true,'Version','2017b')),'Version','2017b','L" "ocation',[-175 134 1187 813],'VisibleAtModelOpen','on')" NumInputPorts "1" } Block { BlockType Display Name "cos phi" SID "23" Ports [1] Position [1080, 186, 1170, 224] ZOrder -23 Decimation "1" } Block { BlockType Reference Name "output Ground10" SID "24" Ports [0, 0, 0, 0, 0, 1] Position [438, 305, 462, 330] ZOrder -24 BlockRotation 270 BlockMirror on ShowName off AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Elements/Ground" SourceType "Ground" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" LConnTagsString "a" } Block { BlockType Reference Name "output Ground6" SID "25" Ports [0, 0, 0, 0, 0, 1] Position [18, 210, 42, 235] ZOrder -25 BlockRotation 270 BlockMirror on ShowName off AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Elements/Ground" SourceType "Ground" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" LConnTagsString "a" } Block { BlockType Reference Name "output Ground7" SID "26" Ports [0, 0, 0, 0, 0, 1] Position [318, 210, 342, 235] ZOrder -26 BlockRotation 270 BlockMirror on ShowName off AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Elements/Ground" SourceType "Ground" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" LConnTagsString "a" } Block { BlockType Reference Name "output Ground8" SID "27" Ports [0, 0, 0, 0, 0, 1] Position [368, 210, 392, 235] ZOrder -27 BlockRotation 270 BlockMirror on ShowName off AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Elements/Ground" SourceType "Ground" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" LConnTagsString "a" } Block { BlockType Reference Name "output Ground9" SID "28" Ports [0, 0, 0, 0, 0, 1] Position [179, 215, 201, 235] ZOrder -28 BlockRotation 270 BlockMirror on ShowName off AttributesFormatString "\\n" LibraryVersion "1.2778" SourceBlock "powerlib/Elements/Ground" SourceType "Ground" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" LConnTagsString "a" } Block { BlockType Reference Name "powergui" SID "29" Ports [] Position [860, 15, 973, 53] ZOrder -29 Priority "1" LibraryVersion "*1.2778" FontName "Verdana" SourceBlock "powerlib/powergui" SourceType "PSB option menu block" SourceProductName "Simscape Power Systems" SourceProductBaseCode "PS" ContentPreviewEnabled off SimulationMode "Discrete" SampleTime "1e-4" frequency "50" Iterations "50" frequencyindice "50" Pbase "100e6" ErrMax "1e-4" UnitsV "kV" UnitsW "MW" buscounter "0" FunctionMessages off echomessages off EnableUseOfTLC off CurrentSourceSwitches off DisableSnubberDevices off DisableRonSwitches off DisableVfSwitches off DisplayEquations off SolverType "Tustin/Backward Euler (TBE)" Interpol off ExternalGateDelay off methode off Ts "0" x0status "blocks" SPID off SwTol "0" HookPort off RestoreLinks "warning" ResistiveCurrentMeasurement off Frange "[0:2:500]" Ylog off Xlog on ShowGrid off save off variable "ZData" structure "0" ZoomFFT on StartTime "0.0" cycles "1" DisplayStyle "1" fundamental "60" FreqAxis off MaxFrequency "1000" frequencyindicesteady "1" RmsSteady "2" display off } Line { LineType "Connection" ZOrder 1 Points [330, 65; 50, 0] Branch { ConnectType "SRC_SRC" DstBlock "C2" DstPort LConn1 } Branch { ConnectType "SRC_DEST" SrcBlock "RL2" SrcPort RConn1 Points [30, 0] } Branch { ConnectType "DEST_SRC" DstBlock "Load current1" DstPort LConn1 } Branch { ConnectType "DEST_SRC" Points [0, 110] DstBlock "Line voltage" DstPort LConn1 } } Line { LineType "Connection" ZOrder 6 Points [140, 65; 0, 0] DstBlock "Rs Ls2" DstPort RConn1 Branch { ConnectType "SRC_SRC" Points [0, 215] DstBlock "Line voltage1" DstPort LConn1 } Branch { ConnectType "SRC_DEST" Points [190, 65; -50, 0] Branch { ConnectType "SRC_SRC" DstBlock "C1" DstPort LConn1 } Branch { ConnectType "SRC_DEST" SrcBlock "RL2" SrcPort LConn1 Points [-20, 0] } } } Line { LineType "Connection" ZOrder 11 SrcBlock "C1" SrcPort RConn1 DstBlock "output Ground9" DstPort LConn1 } Line { LineType "Connection" ZOrder 12 SrcBlock "C2" SrcPort RConn1 DstBlock "output Ground7" DstPort LConn1 } Line { LineType "Connection" ZOrder 13 SrcBlock "RL Load" SrcPort RConn1 DstBlock "Ground7" DstPort LConn1 } Line { LineType "Connection" ZOrder 14 SrcBlock "output Ground8" SrcPort LConn1 Points [0, -10] DstBlock "Line voltage" DstPort LConn2 } Line { LineType "Connection" ZOrder 15 SrcBlock "output Ground6" SrcPort LConn1 DstBlock "132.8 kV rms\n50 Hz1" DstPort LConn1 } Line { LineType "Connection" ZOrder 16 SrcBlock "Load current1" SrcPort RConn1 Points [25, 0; 0, 43] Branch { ConnectType "DEST_DEST" SrcBlock "C compensator" SrcPort LConn1 Points [0, 3; 95, 0] } Branch { ConnectType "DEST_SRC" DstBlock "RL Load" DstPort LConn1 } } Line { LineType "Connection" ZOrder 17 SrcBlock "132.8 kV rms\n50 Hz1" SrcPort RConn1 Points [0, -45] DstBlock "Rs Ls2" DstPort LConn1 } Line { LineType "Connection" ZOrder 18 SrcBlock "output Ground10" SrcPort LConn1 DstBlock "Line voltage1" DstPort LConn2 } Line { Name "V_line" ZOrder 19 Labels [0, 0] SrcBlock "Line voltage" SrcPort 1 Points [65, 0; 0, 65] Branch { ZOrder 20 Points [0, 20] DstBlock "Mux1" DstPort 1 } Branch { ZOrder 21 Points [205, 0] Branch { ZOrder 22 Points [0, -170] DstBlock "Ir, Vr" DstPort 2 } Branch { ZOrder 23 DstBlock "Fourier4" DstPort 1 } } } Line { Name "I_load" ZOrder 24 Labels [0, 0] SrcBlock "Load current1" SrcPort 1 Points [80, 0] Branch { ZOrder 25 DstBlock "Ir, Vr" DstPort 1 } Branch { ZOrder 26 Points [0, -35; 95, 0; 0, 160] DstBlock "Fourier3" DstPort 1 } } Line { ZOrder 27 SrcBlock "Mux1" SrcPort 1 DstBlock "Vsr" DstPort 1 } Line { ZOrder 28 SrcBlock "Line voltage1" SrcPort 1 DstBlock "Mux1" DstPort 2 } Line { LineType "Connection" ZOrder 29 SrcBlock "C compensator" SrcPort RConn1 DstBlock "Ground8" DstPort LConn1 } Line { ZOrder 30 SrcBlock "Trigonometric\nFunction5" SrcPort 1 DstBlock "cos phi" DstPort 1 } Line { ZOrder 31 SrcBlock "Deg->Rad\npi/1" SrcPort 1 DstBlock "Trigonometric\nFunction5" DstPort 1 } Line { ZOrder 32 SrcBlock "Sum1" SrcPort 1 DstBlock "Deg->Rad\npi/1" DstPort 1 } Line { ZOrder 33 SrcBlock "Fourier3" SrcPort 2 DstBlock "Sum1" DstPort 1 } Line { ZOrder 34 SrcBlock "Fourier4" SrcPort 2 Points [15, 0] DstBlock "Sum1" DstPort 2 } Line { ZOrder 35 SrcBlock "Fourier3" SrcPort 1 Points [65, 0] DstBlock "I Load" DstPort 1 } Line { ZOrder 36 SrcBlock "Fourier4" SrcPort 1 Points [65, 0; 0, 60] DstBlock "V Load" DstPort 1 } Annotation { SID "30" Name "150 km\ntransmission line" Position [216, 100, 292, 126] InternalMargins [0, 0, 0, 0] ZOrder -1 } } }